MUL

The IEC operator is used for multiplication of variables.

Permitted data types: BYTE, WORD, DWORD, LWORD, SINT, USINT, INT, UINT, DINT, UDINT, LINT, ULINT, REAL, LREAL, TIME

Special feature in the FBD/LD Editor: You can extend the MUL operator with additional function block inputs. The number of additional function block inputs is limited.

Examples:

ST:

nVar := 7*2*4*7;

FBD:

MUL 1: