HEXASCNIBBLE_TO_BYTE

HEXASCNIBBLE_TO_BYTE 1:

Diese Funktion konvertiert den ASCII-Code eines hexadezimalen Halbbytezeichens in den Dezimalwert.

FUNCTION HEXASCNIBBLE_TO_BYTE : BYTE

VAR_INPUT

VAR_INPUT
    asc : BYTE;
END_VAR

asc: Ascii-Code eines hexadezimalen Halbbytezeichens (Ascii code von: ‚0‘ bis ‚9‘ oder ‚a‘ bis ‚f‘ oder ‚A‘ bis ‚F‘).

Rückgabewert

Bedeutung

0 bis 15

Erfolgreich, kein Fehler.

255

Fehler, fehlerhafter Eingangsparameterwert.

Voraussetzungen

Entwicklungsumgebung

Zielplattform

Einzubindende SPS-Bibliotheken (Kategoriegruppe)

TwinCAT v3.1.0

PC oder CX (x86, x64, ARM)

Tc2_Utilities (System)